Reports:


Submodule: user_proj_example

###############################################
[INFO]: ___ ____ ___ ____ _ ____ ____ ___ / \ | \ / _]| \ | | / || \ / _] | || o ) [_ | _ || | | o || _ | / [_ | O || _/ _]| | || |___ | || | || _] | || | | [_ | | || || _ || | || [_ \___/ |__| |_____||__|__||_____||__|__||__|__||_____|
[INFO]: Version: openram_tc_1kb-alpha-1-457-gc86ca2f
[INFO]: Running non-interactively
[INFO]: Using design configuration at /project/openlane/user_proj_example/config.tcl
[INFO]: Sourcing Configurations from /project/openlane/user_proj_example/config.tcl
[INFO]: PDKs root directory: /media/philipp/Daten/skywater/open_pdks/sky130/sky130A
[INFO]: PDK: sky130A
[INFO]: Standard Cell Library: sky130_fd_sc_hd
[INFO]: Sourcing Configurations from /project/openlane/user_proj_example/config.tcl
[WARNING]: CELL_PAD_EXECLUDE is now deprecated; use CELL_PAD_EXCLUDE instead.
[INFO]: Current run directory is /project/openlane/user_proj_example/runs/user_proj_example
[WARNING]: Removing exisiting run /project/openlane/user_proj_example/runs/user_proj_example
[INFO]: Preparing LEF Files
mergeLef.py : Merging LEFs sky130_fd_sc_hd.lef: SITEs matched found: 0 sky130_fd_sc_hd.lef: MACROs matched found: 437 mergeLef.py : Merging LEFs complete mergeLef.py : Merging LEFs NAND3X1.lef: SITEs matched found: 0 NAND3X1.lef: MACROs matched found: 1 INVX8.lef: SITEs matched found: 0 INVX8.lef: MACROs matched found: 1 OAI21X1.lef: SITEs matched found: 0 OAI21X1.lef: MACROs matched found: 1 INVX4.lef: SITEs matched found: 0 INVX4.lef: MACROs matched found: 1 OAI22X1.lef: SITEs matched found: 0 OAI22X1.lef: MACROs matched found: 1 XOR2X1.lef: SITEs matched found: 0 XOR2X1.lef: MACROs matched found: 1 BUFX4.lef: SITEs matched found: 0 BUFX4.lef: MACROs matched found: 1 NOR2X1.lef: SITEs matched found: 0 NOR2X1.lef: MACROs matched found: 1 HAX1.lef: SITEs matched found: 0 HAX1.lef: MACROs matched found: 1 LATCH.lef: SITEs matched found: 0 LATCH.lef: MACROs matched found: 1 AOI21X1.lef: SITEs matched found: 0 AOI21X1.lef: MACROs matched found: 1 MUX2X1.lef: SITEs matched found: 0 MUX2X1.lef: MACROs matched found: 1 BUFX2.lef: SITEs matched found: 0 BUFX2.lef: MACROs matched found: 1 OR2X1.lef: SITEs matched found: 0 OR2X1.lef: MACROs matched found: 1 NAND2X1.lef: SITEs matched found: 0 NAND2X1.lef: MACROs matched found: 1 INVX2.lef: SITEs matched found: 0 INVX2.lef: MACROs matched found: 1 NOR3X1.lef: SITEs matched found: 0 NOR3X1.lef: MACROs matched found: 1 AND2X2.lef: SITEs matched found: 0 AND2X2.lef: MACROs matched found: 1 OR2X2.lef: SITEs matched found: 0 OR2X2.lef: MACROs matched found: 1 TBUFX2.lef: SITEs matched found: 0 TBUFX2.lef: MACROs matched found: 1 AOI22X1.lef: SITEs matched found: 0 AOI22X1.lef: MACROs matched found: 1 CLKBUF1.lef: SITEs matched found: 0 CLKBUF1.lef: MACROs matched found: 1 XNOR2X1.lef: SITEs matched found: 0 XNOR2X1.lef: MACROs matched found: 1 AND2X1.lef: SITEs matched found: 0 AND2X1.lef: MACROs matched found: 1 TBUFX1.lef: SITEs matched found: 0 TBUFX1.lef: MACROs matched found: 1 INVX1.lef: SITEs matched found: 0 INVX1.lef: MACROs matched found: 1 INV.lef: SITEs matched found: 0 INV.lef: MACROs matched found: 1 mergeLef.py : Merging LEFs complete
[INFO]: Merging the following extra LEFs: /project/openlane/user_proj_example/../../cells/lef/NAND3X1.lef /project/openlane/user_proj_example/../../cells/lef/INVX8.lef /project/openlane/user_proj_example/../../cells/lef/OAI21X1.lef /project/openlane/user_proj_example/../../cells/lef/INVX4.lef /project/openlane/user_proj_example/../../cells/lef/OAI22X1.lef /project/openlane/user_proj_example/../../cells/lef/XOR2X1.lef /project/openlane/user_proj_example/../../cells/lef/BUFX4.lef /project/openlane/user_proj_example/../../cells/lef/NOR2X1.lef /project/openlane/user_proj_example/../../cells/lef/HAX1.lef /project/openlane/user_proj_example/../../cells/lef/LATCH.lef /project/openlane/user_proj_example/../../cells/lef/AOI21X1.lef /project/openlane/user_proj_example/../../cells/lef/MUX2X1.lef /project/openlane/user_proj_example/../../cells/lef/BUFX2.lef /project/openlane/user_proj_example/../../cells/lef/OR2X1.lef /project/openlane/user_proj_example/../../cells/lef/NAND2X1.lef /project/openlane/user_proj_example/../../cells/lef/INVX2.lef /project/openlane/user_proj_example/../../cells/lef/NOR3X1.lef /project/openlane/user_proj_example/../../cells/lef/AND2X2.lef /project/openlane/user_proj_example/../../cells/lef/OR2X2.lef /project/openlane/user_proj_example/../../cells/lef/TBUFX2.lef /project/openlane/user_proj_example/../../cells/lef/AOI22X1.lef /project/openlane/user_proj_example/../../cells/lef/CLKBUF1.lef /project/openlane/user_proj_example/../../cells/lef/XNOR2X1.lef /project/openlane/user_proj_example/../../cells/lef/AND2X1.lef /project/openlane/user_proj_example/../../cells/lef/TBUFX1.lef /project/openlane/user_proj_example/../../cells/lef/INVX1.lef /project/openlane/user_proj_example/../../cells/lef/INV.lef
[INFO]: Trimming Liberty...
[INFO]: Preparation complete
[INFO]: Running Synthesis...
Reading /media/philipp/Daten/skywater/open_pdks/sky130/sky130A/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib as a blackbox /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) [TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip. [TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip. [TCL: yosys -import] Command name collision: found pre-existing command `exec' -> skip. [TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip. [TCL: yosys -import] Command name collision: found pre-existing command `trace' -> skip. 1. Executing Liberty frontend. Imported 428 cell types from liberty file. 2. Executing Liberty frontend. Imported 27 cell types from liberty file. 3. Executing Verilog-2005 frontend: /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v Parsing SystemVerilog input from `/project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v' to AST representation. Replacing existing blackbox module `\AND2X1' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:10.1-17.10. Generating RTLIL representation for module `\AND2X1'. Replacing existing blackbox module `\AND2X2' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:19.1-26.10. Generating RTLIL representation for module `\AND2X2'. Replacing existing blackbox module `\AOI21X1' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:28.1-36.10. Generating RTLIL representation for module `\AOI21X1'. Replacing existing blackbox module `\AOI22X1' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:38.1-47.10. Generating RTLIL representation for module `\AOI22X1'. Replacing existing blackbox module `\BUFX2' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:49.1-55.10. Generating RTLIL representation for module `\BUFX2'. Replacing existing blackbox module `\BUFX4' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:57.1-63.10. Generating RTLIL representation for module `\BUFX4'. Replacing existing blackbox module `\CLKBUF1' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:65.1-71.10. Generating RTLIL representation for module `\CLKBUF1'. Replacing existing blackbox module `\HAX1' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:73.1-81.10. Generating RTLIL representation for module `\HAX1'. Replacing existing blackbox module `\INV' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:83.1-89.10. Generating RTLIL representation for module `\INV'. Replacing existing blackbox module `\INVX1' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:91.1-97.10. Generating RTLIL representation for module `\INVX1'. Replacing existing blackbox module `\INVX2' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:99.1-105.10. Generating RTLIL representation for module `\INVX2'. Replacing existing blackbox module `\INVX4' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:107.1-113.10. Generating RTLIL representation for module `\INVX4'. Replacing existing blackbox module `\INVX8' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:115.1-121.10. Generating RTLIL representation for module `\INVX8'. Replacing existing blackbox module `\LATCH' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:123.1-130.10. Generating RTLIL representation for module `\LATCH'. Replacing existing blackbox module `\MUX2X1' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:132.1-140.10. Generating RTLIL representation for module `\MUX2X1'. Replacing existing blackbox module `\NAND2X1' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:142.1-149.10. Generating RTLIL representation for module `\NAND2X1'. Replacing existing blackbox module `\NAND3X1' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:151.1-159.10. Generating RTLIL representation for module `\NAND3X1'. Replacing existing blackbox module `\NOR2X1' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:161.1-168.10. Generating RTLIL representation for module `\NOR2X1'. Replacing existing blackbox module `\NOR3X1' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:170.1-178.10. Generating RTLIL representation for module `\NOR3X1'. Replacing existing blackbox module `\OAI21X1' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:180.1-188.10. Generating RTLIL representation for module `\OAI21X1'. Replacing existing blackbox module `\OAI22X1' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:190.1-199.10. Generating RTLIL representation for module `\OAI22X1'. Replacing existing blackbox module `\OR2X1' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:201.1-208.10. Generating RTLIL representation for module `\OR2X1'. Replacing existing blackbox module `\OR2X2' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:210.1-217.10. Generating RTLIL representation for module `\OR2X2'. Replacing existing blackbox module `\TBUFX1' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:219.1-226.10. Generating RTLIL representation for module `\TBUFX1'. Replacing existing blackbox module `\TBUFX2' at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:228.1-235.10. Generating RTLIL representation for module `\TBUFX2'.
Successfully finished Verilog frontend.
4. Executing Verilog-2005 frontend: /project/openlane/user_proj_example/../../verilog/rtl/defines.v Parsing SystemVerilog input from `/project/openlane/user_proj_example/../../verilog/rtl/defines.v' to AST representation.
Successfully finished Verilog frontend.
5. Executing Verilog-2005 frontend: /project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v Parsing SystemVerilog input from `/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v' to AST representation. Generating RTLIL representation for module `\user_proj_example'.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:142: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:143: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:144: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:145: Warning: Range select out of bounds on signal `\io_out': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:150: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:151: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:152: Warning: Range select out of bounds on signal `\io_out': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:157: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:158: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:159: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:160: Warning: Range select out of bounds on signal `\io_out': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:165: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:166: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:167: Warning: Range select out of bounds on signal `\io_out': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:172: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:173: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:174: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:175: Warning: Range select out of bounds on signal `\io_out': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:180: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:181: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:182: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:183: Warning: Range select out of bounds on signal `\io_out': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:188: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:189: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:190: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:191: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:192: Warning: Range select out of bounds on signal `\io_out': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:197: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:198: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:199: Warning: Range select out of bounds on signal `\io_out': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:204: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:205: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:206: Warning: Range select out of bounds on signal `\io_out': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:211: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:212: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:213: Warning: Range select out of bounds on signal `\io_out': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:218: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:219: Warning: Range select out of bounds on signal `\io_in': Setting result bit to undef.
/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v:220: Warning: Range select out of bounds on signal `\io_out': Setting result bit to undef.
Successfully finished Verilog frontend.
6. Generating Graphviz representation of design. Writing dot description to `/project/openlane/user_proj_example/runs/user_proj_example/tmp/synthesis/hierarchy.dot'. Dumping module user_proj_example to page 1. 7. Executing HIERARCHY pass (managing design hierarchy). 7.1. Analyzing design hierarchy.. Top module: \user_proj_example 7.2. Analyzing design hierarchy.. Top module: \user_proj_example Removed 0 unused modules. 8. Executing SYNTH pass. 8.1. Executing HIERARCHY pass (managing design hierarchy). 8.1.1. Analyzing design hierarchy.. Top module: \user_proj_example 8.1.2. Analyzing design hierarchy.. Top module: \user_proj_example Removed 0 unused modules. 8.2. Executing PROC pass (convert processes to netlists). 8.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 8.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 8.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 8.2.4. Executing PROC_INIT pass (extract init attributes). 8.2.5. Executing PROC_ARST pass (detect async resets in processes). 8.2.6. Executing PROC_MUX pass (convert decision trees to multiplexers). 8.2.7. Executing PROC_DLATCH pass (convert process syncs to latches). 8.2.8. Executing PROC_DFF pass (convert process syncs to FFs). 8.2.9. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 8.3. Executing FLATTEN pass (flatten design). 8.4. Executing OPT_EXPR pass (perform const folding). Optimizing module user_proj_example. 8.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example.. 8.6. Executing CHECK pass (checking for obvious problems). checking module user_proj_example..
Warning: Wire user_proj_example.\wbs_dat_o [31] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [30] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [29] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [28] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [27] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [26] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [25] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [24] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [23] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [22] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [21] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [20] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [19] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [18] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [17] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [16] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [15] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [14] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [13] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [12] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [11] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [10] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [9] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [8] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [7] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [6] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [5] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [4] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [3] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [2] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [1] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [0] is used but has no driver.
Warning: Wire user_proj_example.\wbs_ack_o is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [127] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [126] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [125] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [124] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [123] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [122] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [121] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [120] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [119] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [118] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [117] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [116] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [115] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [114] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [113] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [112] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [111] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [110] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [109] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [108] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [107] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [106] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [105] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [104] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [103] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [102] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [101] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [100] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [99] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [98] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [97] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [96] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [95] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [94] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [93] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [92] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [91] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [90] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [89] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [88] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [87] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [86] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [85] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [84] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [83] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [82] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [81] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [80] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [79] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [78] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [77] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [76] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [75] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [74] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [73] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [72] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [71] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [70] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [69] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [68] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [67] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [66] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [65] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [64] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [63] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [62] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [61] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [60] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [59] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [58] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [57] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [56] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [55] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [54] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [53] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [52] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [51] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [50] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [49] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [48] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [47] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [46] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [45] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [44] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [43] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [42] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [41] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [40] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [39] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [38] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [37] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [36] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [35] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [34] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [33] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [32] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [31] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [30] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [29] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [28] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [27] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [26] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [25] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [24] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [23] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [22] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [21] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [20] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [19] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [18] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [17] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [16] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [15] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [14] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [13] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [12] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [11] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [10] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [9] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [8] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [7] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [6] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [5] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [4] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [3] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [2] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [1] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [0] is used but has no driver.
Warning: Wire user_proj_example.\io_out [36] is used but has no driver.
Warning: Wire user_proj_example.\io_out [35] is used but has no driver.
Warning: Wire user_proj_example.\io_out [33] is used but has no driver.
Warning: Wire user_proj_example.\io_out [31] is used but has no driver.
Warning: Wire user_proj_example.\io_out [29] is used but has no driver.
Warning: Wire user_proj_example.\io_out [27] is used but has no driver.
Warning: Wire user_proj_example.\io_out [25] is used but has no driver.
Warning: Wire user_proj_example.\io_out [22] is used but has no driver.
Warning: Wire user_proj_example.\io_out [21] is used but has no driver.
Warning: Wire user_proj_example.\io_out [19] is used but has no driver.
Warning: Wire user_proj_example.\io_out [17] is used but has no driver.
Warning: Wire user_proj_example.\io_out [15] is used but has no driver.
Warning: Wire user_proj_example.\io_out [13] is used but has no driver.
Warning: Wire user_proj_example.\io_out [12] is used but has no driver.
Warning: Wire user_proj_example.\io_out [11] is used but has no driver.
Warning: Wire user_proj_example.\io_out [10] is used but has no driver.
Warning: Wire user_proj_example.\io_out [8] is used but has no driver.
Warning: Wire user_proj_example.\io_out [7] is used but has no driver.
Warning: Wire user_proj_example.\io_out [6] is used but has no driver.
Warning: Wire user_proj_example.\io_out [4] is used but has no driver.
Warning: Wire user_proj_example.\io_out [3] is used but has no driver.
Warning: Wire user_proj_example.\io_out [1] is used but has no driver.
Warning: Wire user_proj_example.\io_out [0] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [37] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [36] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [35] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [34] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [33] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [32] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [31] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [30] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [29] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [28] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [27] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [26] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [25] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [24] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [23] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [22] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [21] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [20] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [19] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [18] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [17] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [16] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [15] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [14] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [13] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [12] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [11] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [10] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [9] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [8] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [7] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [6] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [5] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [4] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [3] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [2] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [1] is used but has no driver.
Warning: Wire user_proj_example.\io_oeb [0] is used but has no driver.
found and reported 222 problems. 8.7. Executing OPT pass (performing simple optimizations). 8.7.1. Executing OPT_EXPR pass (perform const folding). Optimizing module user_proj_example. 8.7.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\user_proj_example'. Removed a total of 0 cells. 8.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \user_proj_example.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 8.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \user_proj_example. Performed a total of 0 changes. 8.7.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\user_proj_example'. Removed a total of 0 cells. 8.7.6. Executing OPT_DFF pass (perform DFF optimizations). 8.7.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example.. 8.7.8. Executing OPT_EXPR pass (perform const folding). Optimizing module user_proj_example.
8.7.9. Finished OPT passes. (There is nothing left to do.)
8.8. Executing FSM pass (extract and optimize FSM). 8.8.1. Executing FSM_DETECT pass (finding FSMs in design). 8.8.2. Executing FSM_EXTRACT pass (extracting FSM from design). 8.8.3. Executing FSM_OPT pass (simple optimizations of FSMs). 8.8.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example.. 8.8.5. Executing FSM_OPT pass (simple optimizations of FSMs). 8.8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). 8.8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). 8.8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). 8.9. Executing OPT pass (performing simple optimizations). 8.9.1. Executing OPT_EXPR pass (perform const folding). Optimizing module user_proj_example. 8.9.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\user_proj_example'. Removed a total of 0 cells. 8.9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \user_proj_example.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 8.9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \user_proj_example. Performed a total of 0 changes. 8.9.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\user_proj_example'. Removed a total of 0 cells. 8.9.6. Executing OPT_DFF pass (perform DFF optimizations). 8.9.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example.. 8.9.8. Executing OPT_EXPR pass (perform const folding). Optimizing module user_proj_example.
8.9.9. Finished OPT passes. (There is nothing left to do.)
8.10. Executing WREDUCE pass (reducing word size of cells). 8.11. Executing PEEPOPT pass (run peephole optimizers). 8.12. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example.. 8.13. Executing ALUMACC pass (create $alu and $macc cells). Extracting $alu and $macc cells in module user_proj_example: created 0 $alu and 0 $macc cells. 8.14. Executing SHARE pass (SAT-based resource sharing). 8.15. Executing OPT pass (performing simple optimizations). 8.15.1. Executing OPT_EXPR pass (perform const folding). Optimizing module user_proj_example. 8.15.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\user_proj_example'. Removed a total of 0 cells. 8.15.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \user_proj_example.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 8.15.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \user_proj_example. Performed a total of 0 changes. 8.15.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\user_proj_example'. Removed a total of 0 cells. 8.15.6. Executing OPT_DFF pass (perform DFF optimizations). 8.15.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example.. 8.15.8. Executing OPT_EXPR pass (perform const folding). Optimizing module user_proj_example.
8.15.9. Finished OPT passes. (There is nothing left to do.)
8.16. Executing MEMORY pass. 8.16.1. Executing OPT_MEM pass (optimize memories). Performed a total of 0 transformations. 8.16.2. Executing MEMORY_DFF pass (merging $dff cells to $memrd and $memwr). 8.16.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example.. 8.16.4. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 8.16.5. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example.. 8.16.6. Executing MEMORY_COLLECT pass (generating $mem cells). 8.17. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example.. 8.18. Executing OPT pass (performing simple optimizations). 8.18.1. Executing OPT_EXPR pass (perform const folding). Optimizing module user_proj_example. 8.18.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\user_proj_example'. Removed a total of 0 cells. 8.18.3. Executing OPT_DFF pass (perform DFF optimizations). 8.18.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example..
8.18.5. Finished fast OPT passes.
8.19. Executing MEMORY_MAP pass (converting $mem cells to logic and flip-flops). 8.20. Executing OPT pass (performing simple optimizations). 8.20.1. Executing OPT_EXPR pass (perform const folding). Optimizing module user_proj_example. 8.20.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\user_proj_example'. Removed a total of 0 cells. 8.20.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \user_proj_example.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 8.20.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \user_proj_example. Performed a total of 0 changes. 8.20.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\user_proj_example'. Removed a total of 0 cells. 8.20.6. Executing OPT_SHARE pass. 8.20.7. Executing OPT_DFF pass (perform DFF optimizations). 8.20.8. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example.. 8.20.9. Executing OPT_EXPR pass (perform const folding). Optimizing module user_proj_example.
8.20.10. Finished OPT passes. (There is nothing left to do.)
8.21. Executing TECHMAP pass (map to technology primitives). 8.21.1. Executing Verilog-2005 frontend: /build/bin/../share/yosys/techmap.v Parsing Verilog input from `/build/bin/../share/yosys/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_lut'.
Successfully finished Verilog frontend.
8.21.2. Continuing TECHMAP pass. No more expansions possible. 8.22. Executing OPT pass (performing simple optimizations). 8.22.1. Executing OPT_EXPR pass (perform const folding). Optimizing module user_proj_example. 8.22.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\user_proj_example'. Removed a total of 0 cells. 8.22.3. Executing OPT_DFF pass (perform DFF optimizations). 8.22.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example..
8.22.5. Finished fast OPT passes.
8.23. Executing ABC pass (technology mapping using ABC). 8.23.1. Extracting gate netlist of module `\user_proj_example' to `/input.blif'.. Extracted 0 gates and 0 wires to a netlist network with 0 inputs and 0 outputs. Don't call ABC as there is nothing to map. Removing temp directory. 8.24. Executing OPT pass (performing simple optimizations). 8.24.1. Executing OPT_EXPR pass (perform const folding). Optimizing module user_proj_example. 8.24.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\user_proj_example'. Removed a total of 0 cells. 8.24.3. Executing OPT_DFF pass (perform DFF optimizations). 8.24.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example..
8.24.5. Finished fast OPT passes.
8.25. Executing HIERARCHY pass (managing design hierarchy). 8.25.1. Analyzing design hierarchy.. Top module: \user_proj_example 8.25.2. Analyzing design hierarchy.. Top module: \user_proj_example Removed 0 unused modules. 8.26. Printing statistics. === user_proj_example === Number of wires: 24 Number of wire bits: 612 Number of public wires: 24 Number of public wire bits: 612 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 25 AND2X1 1 AND2X2 1 AOI21X1 1 AOI22X1 1 BUFX2 1 BUFX4 1 CLKBUF1 1 HAX1 1 INV 1 INVX1 1 INVX2 1 INVX4 1 INVX8 1 LATCH 1 MUX2X1 1 NAND2X1 1 NAND3X1 1 NOR2X1 1 NOR3X1 1 OAI21X1 1 OAI22X1 1 OR2X1 1 OR2X2 1 TBUFX1 1 TBUFX2 1 8.27. Executing CHECK pass (checking for obvious problems). checking module user_proj_example.. found and reported 0 problems. 9. Executing SHARE pass (SAT-based resource sharing). 10. Executing OPT pass (performing simple optimizations). 10.1. Executing OPT_EXPR pass (perform const folding). Optimizing module user_proj_example. 10.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\user_proj_example'. Removed a total of 0 cells. 10.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \user_proj_example.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 10.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \user_proj_example. Performed a total of 0 changes. 10.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\user_proj_example'. Removed a total of 0 cells. 10.6. Executing OPT_DFF pass (perform DFF optimizations). 10.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example.. 10.8. Executing OPT_EXPR pass (perform const folding). Optimizing module user_proj_example.
10.9. Finished OPT passes. (There is nothing left to do.)
11. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example.. 12. Printing statistics. === user_proj_example === Number of wires: 24 Number of wire bits: 612 Number of public wires: 24 Number of public wire bits: 612 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 25 AND2X1 1 AND2X2 1 AOI21X1 1 AOI22X1 1 BUFX2 1 BUFX4 1 CLKBUF1 1 HAX1 1 INV 1 INVX1 1 INVX2 1 INVX4 1 INVX8 1 LATCH 1 MUX2X1 1 NAND2X1 1 NAND3X1 1 NOR2X1 1 NOR3X1 1 OAI21X1 1 OAI22X1 1 OR2X1 1 OR2X2 1 TBUFX1 1 TBUFX2 1 13. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). cell sky130_fd_sc_hd__dfxtp_4 (noninv, pins=3, area=23.77) is a direct match for cell type $_DFF_P_. cell sky130_fd_sc_hd__dfrtp_4 (noninv, pins=4, area=28.78) is a direct match for cell type $_DFF_PN0_. cell sky130_fd_sc_hd__dfstp_4 (noninv, pins=4, area=30.03) is a direct match for cell type $_DFF_PN1_. cell sky130_fd_sc_hd__dfbbn_2 (noninv, pins=6, area=35.03) is a direct match for cell type $_DFFSR_NNN_. final dff cell mappings: unmapped dff cell: $_DFF_N_ \sky130_fd_sc_hd__dfxtp_4 _DFF_P_ (.CLK( C), .D( D), .Q( Q)); unmapped dff cell: $_DFF_NN0_ unmapped dff cell: $_DFF_NN1_ unmapped dff cell: $_DFF_NP0_ unmapped dff cell: $_DFF_NP1_ \sky130_fd_sc_hd__dfrtp_4 _DFF_PN0_ (.CLK( C), .D( D), .Q( Q), .RESET_B( R)); \sky130_fd_sc_hd__dfstp_4 _DFF_PN1_ (.CLK( C), .D( D), .Q( Q), .SET_B( R)); unmapped dff cell: $_DFF_PP0_ unmapped dff cell: $_DFF_PP1_ \sky130_fd_sc_hd__dfbbn_2 _DFFSR_NNN_ (.CLK_N( C), .D( D), .Q( Q), .Q_N(~Q), .RESET_B( R), .SET_B( S)); unmapped dff cell: $_DFFSR_NNP_ unmapped dff cell: $_DFFSR_NPN_ unmapped dff cell: $_DFFSR_NPP_ unmapped dff cell: $_DFFSR_PNN_ unmapped dff cell: $_DFFSR_PNP_ unmapped dff cell: $_DFFSR_PPN_ unmapped dff cell: $_DFFSR_PPP_ 13.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). Mapping DFF cells in module `\user_proj_example': 14. Printing statistics. [INFO]: ABC: WireLoad : S_2 === user_proj_example === Number of wires: 24 Number of wire bits: 612 Number of public wires: 24 Number of public wire bits: 612 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 25 AND2X1 1 AND2X2 1 AOI21X1 1 AOI22X1 1 BUFX2 1 BUFX4 1 CLKBUF1 1 HAX1 1 INV 1 INVX1 1 INVX2 1 INVX4 1 INVX8 1 LATCH 1 MUX2X1 1 NAND2X1 1 NAND3X1 1 NOR2X1 1 NOR3X1 1 OAI21X1 1 OAI22X1 1 OR2X1 1 OR2X2 1 TBUFX1 1 TBUFX2 1 15. Executing ABC pass (technology mapping using ABC). 15.1. Extracting gate netlist of module `\user_proj_example' to `/tmp/yosys-abc-R2knOZ/input.blif'.. Extracted 0 gates and 0 wires to a netlist network with 0 inputs and 0 outputs. Don't call ABC as there is nothing to map. Removing temp directory. 16. Executing SETUNDEF pass (replace undef values with defined constants). 17. Executing HILOMAP pass (mapping to constant drivers). 18. Executing SPLITNETS pass (splitting up multi-bit signals). 19. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \user_proj_example.. Removed 0 unused cells and 222 unused wires. 20. Executing INSBUF pass (insert buffer cells for connected wires). 21. Executing CHECK pass (checking for obvious problems). checking module user_proj_example.. found and reported 0 problems. 22. Printing statistics. === user_proj_example === Number of wires: 63 Number of wire bits: 651 Number of public wires: 24 Number of public wire bits: 612 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 286 AND2X1 1 AND2X2 1 AOI21X1 1 AOI22X1 1 BUFX2 1 BUFX4 1 CLKBUF1 1 HAX1 1 INV 1 INVX1 1 INVX2 1 INVX4 1 INVX8 1 LATCH 1 MUX2X1 1 NAND2X1 1 NAND3X1 1 NOR2X1 1 NOR3X1 1 OAI21X1 1 OAI22X1 1 OR2X1 1 OR2X2 1 TBUFX1 1 TBUFX2 1 sky130_fd_sc_hd__conb_1 261 Area for cell type \AND2X1 is unknown! Area for cell type \AND2X2 is unknown! Area for cell type \AOI21X1 is unknown! Area for cell type \AOI22X1 is unknown! Area for cell type \BUFX2 is unknown! Area for cell type \BUFX4 is unknown! Area for cell type \CLKBUF1 is unknown! Area for cell type \HAX1 is unknown! Area for cell type \INV is unknown! Area for cell type \INVX1 is unknown! Area for cell type \INVX2 is unknown! Area for cell type \INVX4 is unknown! Area for cell type \INVX8 is unknown! Area for cell type \LATCH is unknown! Area for cell type \MUX2X1 is unknown! Area for cell type \NAND2X1 is unknown! Area for cell type \NAND3X1 is unknown! Area for cell type \NOR2X1 is unknown! Area for cell type \NOR3X1 is unknown! Area for cell type \OAI21X1 is unknown! Area for cell type \OAI22X1 is unknown! Area for cell type \OR2X1 is unknown! Area for cell type \OR2X2 is unknown! Area for cell type \TBUFX1 is unknown! Area for cell type \TBUFX2 is unknown! Chip area for module '\user_proj_example': 979.689600 23. Executing Verilog backend. Dumping module `\user_proj_example'.
Warnings: 224 unique messages, 261 total
End of script. Logfile hash: 9d11ab30a3, CPU: user 2.10s system 0.08s, MEM: 44.35 MB peak Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) Time spent: 31% 4x stat (0 sec), 31% 4x read_liberty (0 sec), ...
[INFO]: Changing netlist from 0 to /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v
[INFO]: Running Static Timing Analysis...
OpenSTA 2.2.0 7662c12482 Copyright (c) 2019, Parallax Software, Inc. License GPLv3: GNU GPL version 3 This is free software, and you are free to change and redistribute it under certain conditions; type `show_copying' for details. This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.
Warning: /media/philipp/Daten/skywater/open_pdks/sky130/sky130A/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib, line 31 default_operating_condition ff_n40C_1v95 not found.
Warning: /media/philipp/Daten/skywater/open_pdks/sky130/sky130A/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib, line 32 default_operating_condition ss_100C_1v60 not found.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 850 module AND2X1 not found. Creating black box for AND2X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 857 module AND2X2 not found. Creating black box for AND2X2.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 864 module AOI21X1 not found. Creating black box for AOI21X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 872 module AOI22X1 not found. Creating black box for AOI22X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 881 module BUFX2 not found. Creating black box for BUFX2.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 887 module BUFX4 not found. Creating black box for BUFX4.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 893 module CLKBUF1 not found. Creating black box for CLKBUF1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 899 module HAX1 not found. Creating black box for HAX1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 907 module INV not found. Creating black box for INV.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 913 module INVX1 not found. Creating black box for INVX1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 919 module INVX2 not found. Creating black box for INVX2.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 925 module INVX4 not found. Creating black box for INVX4.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 931 module INVX8 not found. Creating black box for INVX8.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 937 module LATCH not found. Creating black box for LATCH.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 944 module MUX2X1 not found. Creating black box for MUX2X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 952 module NAND2X1 not found. Creating black box for NAND2X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 959 module NAND3X1 not found. Creating black box for NAND3X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 967 module NOR2X1 not found. Creating black box for NOR2X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 974 module NOR3X1 not found. Creating black box for NOR3X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 982 module OAI21X1 not found. Creating black box for OAI21X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 990 module OAI22X1 not found. Creating black box for OAI22X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 999 module OR2X1 not found. Creating black box for OR2X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 1006 module OR2X2 not found. Creating black box for OR2X2.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 1013 module TBUFX1 not found. Creating black box for TBUFX1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v, line 1020 module TBUFX2 not found. Creating black box for TBUFX2.
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD) set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] puts "\[INFO\]: Setting output delay to: $output_delay_value" [INFO]: Setting output delay to: 0.0 puts "\[INFO\]: Setting input delay to: $input_delay_value" [INFO]: Setting input delay to: 0.0 set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]] #set rst_indx [lsearch [all_inputs] [get_port resetn]] set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx] #set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx] set all_inputs_wo_clk_rst $all_inputs_wo_clk # correct resetn set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst #set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn} set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs] # TODO set this as parameter set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] puts "\[INFO\]: Setting load to: $cap_load" [INFO]: Setting load to: 0.01765 set_load $cap_load [all_outputs] tns 0.00 wns 0.00
[INFO]: Synthesis was successful
[INFO]: Running Floorplanning...
[INFO]: Running Initial Floorplanning...
OpenROAD 0.9.0 d03ebfc244 This program is licensed under the BSD-3 license. See the LICENSE file for details. Components of this program may be licensed under more restrictive licenses which must be honored.
Warning: /media/philipp/Daten/skywater/open_pdks/sky130/sky130A/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib, line 31 default_operating_condition tt_025C_1v80 not found.
Notice 0: Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef Notice 0: Created 11 technology layers Notice 0: Created 25 technology vias Notice 0: Created 464 library cells
Notice 0: Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 pin VPB missing from liberty cell
Warning: LEF master AND2X1 has no liberty cell.
Warning: LEF master AND2X2 has no liberty cell.
Warning: LEF master AOI21X1 has no liberty cell.
Warning: LEF master AOI22X1 has no liberty cell.
Warning: LEF master BUFX2 has no liberty cell.
Warning: LEF master BUFX4 has no liberty cell.
Warning: LEF master CLKBUF1 has no liberty cell.
Warning: LEF master HAX1 has no liberty cell.
Warning: LEF master INV has no liberty cell.
Warning: LEF master INVX1 has no liberty cell.
Warning: LEF master INVX2 has no liberty cell.
Warning: LEF master INVX4 has no liberty cell.
Warning: LEF master INVX8 has no liberty cell.
Warning: LEF master LATCH has no liberty cell.
Warning: LEF master MUX2X1 has no liberty cell.
Warning: LEF master NAND2X1 has no liberty cell.
Warning: LEF master NAND3X1 has no liberty cell.
Warning: LEF master NOR2X1 has no liberty cell.
Warning: LEF master NOR3X1 has no liberty cell.
Warning: LEF master OAI21X1 has no liberty cell.
Warning: LEF master OAI22X1 has no liberty cell.
Warning: LEF master OR2X1 has no liberty cell.
Warning: LEF master OR2X2 has no liberty cell.
Warning: LEF master TBUFX1 has no liberty cell.
Warning: LEF master TBUFX2 has no liberty cell.
Info: Added 102 rows of 628 sites.
[INFO]: Core area width: 288.96000000000004
[INFO]: Core area height: 278.24
[INFO]: Changing layout from 0 to /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/verilog2def_openroad.def
Notice 0: Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged.lef Notice 0: Created 11 technology layers Notice 0: Created 25 technology vias Notice 0: Created 464 library cells
Notice 0: Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged.lef
Notice 0: Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/verilog2def_openroad.def Notice 0: Design: user_proj_example Notice 0: Created 612 pins. Notice 0: Created 286 components and 1171 component-terminals. Notice 0: Created 651 nets and 388 connections.
Notice 0: Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/verilog2def_openroad.def
Top-level design name: user_proj_example
Warning: Some pins weren't matched by the config file
Those are: ['vccd1', 'vccd2', 'vdda1', 'vdda2', 'vssa1', 'vssa2', 'vssd1', 'vssd2'] Assigning random sides to the above pins Block boundaries: 0 0 300000 300000 Writing /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/ioPlacer.def
[INFO]: Changing layout from /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/verilog2def_openroad.def to /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/ioPlacer.def
[INFO]: Manual Macro Placement...
Notice 0: Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged.lef Notice 0: Created 11 technology layers Notice 0: Created 25 technology vias Notice 0: Created 464 library cells
Notice 0: Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged.lef
Notice 0: Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/ioPlacer.def Notice 0: Design: user_proj_example Notice 0: Created 612 pins. Notice 0: Created 286 components and 1171 component-terminals. Notice 0: Created 651 nets and 388 connections.
Notice 0: Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/ioPlacer.def
Placing the following macros: {'AND2X1': ['40480', '13600', 'N'], 'AND2X2': ['40480', '19040', 'N'], 'AOI21X1': ['40480', '24480', 'N'], 'AOI22X1': ['40480', '29920', 'N'], 'BUFX2': ['40480', '35360', 'N'], 'BUFX4': ['40480', '40800', 'N'], 'CLKBUF1': ['40480', '46240', 'N'], 'HAX1': ['40480', '51680', 'N'], 'INV': ['40480', '57120', 'N'], 'INVX1': ['40480', '62560', 'N'], 'INVX2': ['40480', '68000', 'N'], 'INVX4': ['40480', '73440', 'N'], 'INVX8': ['40480', '78880', 'N'], 'LATCH': ['40480', '84320', 'N'], 'MUX2X1': ['40480', '89760', 'N'], 'NAND2X1': ['40480', '95200', 'N'], 'NAND3X1': ['40480', '100640', 'N'], 'NOR2X1': ['40480', '106080', 'N'], 'NOR3X1': ['40480', '111520', 'N'], 'OAI21X1': ['40480', '116960', 'N'], 'OAI22X1': ['40480', '122400', 'N'], 'OR2X1': ['40480', '127840', 'N'], 'OR2X2': ['40480', '133280', 'N'], 'TBUFX1': ['40480', '138720', 'N'], 'TBUFX2': ['40480', '144160', 'N']} Design name: user_proj_example Placing AND2X1 Placing AND2X2 Placing AOI21X1 Placing AOI22X1 Placing BUFX2 Placing BUFX4 Placing CLKBUF1 Placing HAX1 Placing INV Placing INVX1 Placing INVX2 Placing INVX4 Placing INVX8 Placing LATCH Placing MUX2X1 Placing NAND2X1 Placing NAND3X1 Placing NOR2X1 Placing NOR3X1 Placing OAI21X1 Placing OAI22X1 Placing OR2X1 Placing OR2X2 Placing TBUFX1 Placing TBUFX2
Successfully placed 25 instances
[INFO]: Changing layout from /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/ioPlacer.def to /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/ioPlacer.def.macro_placement.def
[INFO]: Running Tap/Decap Insertion...
OpenROAD 0.9.0 d03ebfc244 This program is licensed under the BSD-3 license. See the LICENSE file for details. Components of this program may be licensed under more restrictive licenses which must be honored. Notice 0: Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef Notice 0: Created 11 technology layers Notice 0: Created 25 technology vias Notice 0: Created 464 library cells
Notice 0: Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
Notice 0: Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/ioPlacer.def.macro_placement.def Notice 0: Design: user_proj_example Notice 0: Created 612 pins. Notice 0: Created 286 components and 1171 component-terminals. Notice 0: Created 651 nets and 388 connections.
Notice 0: Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/ioPlacer.def.macro_placement.def
Running tapcell... Step 1: Cut rows... [INFO] Macro blocks found: 0 [INFO] #Original rows: 102 [INFO] #Cut rows: 0 Step 2: Insert endcaps... [INFO] #Endcaps inserted: 204 Step 3: Insert tapcells... [INFO] #Tapcells inserted: 1040 Running tapcell... Done!
[INFO]: Changing layout from /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/ioPlacer.def.macro_placement.def to /project/openlane/user_proj_example/runs/user_proj_example/results/floorplan/user_proj_example.floorplan.def
[INFO]: Running Placement...
[WARNING]: Performing Random Global Placement...
Notice 0: Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef Notice 0: Created 11 technology layers Notice 0: Created 25 technology vias Notice 0: Created 464 library cells
Notice 0: Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
Notice 0: Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/results/floorplan/user_proj_example.floorplan.def Notice 0: Design: user_proj_example Notice 0: Created 612 pins. Notice 0: Created 1530 components and 3659 component-terminals. Notice 0: Created 651 nets and 388 connections.
Notice 0: Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/results/floorplan/user_proj_example.floorplan.def
Design name: user_proj_example Core Area Boundaries: 5520 10880 294400 288320 Number of instances 1530 Placed 261 instances
[INFO]: Changing layout from /project/openlane/user_proj_example/runs/user_proj_example/results/floorplan/user_proj_example.floorplan.def to /project/openlane/user_proj_example/runs/user_proj_example/tmp/placement/replace.def
[INFO]: Running OpenPhySyn Timing Optimization...
[INFO]: Trimming Liberty...
[OpenPhySyn] [2020-12-01 20:12:41.533] [info] Loaded 6 transforms. [OpenPhySyn] [2020-12-01 20:12:43.992] [info] OpenPhySyn: 1.8.1
Warning: /project/openlane/user_proj_example/runs/user_proj_example/tmp/opt.lib, line 32 default_operating_condition ss_100C_1v60 not found.
Notice 0: Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef Notice 0: Created 11 technology layers Notice 0: Created 25 technology vias Notice 0: Created 464 library cells
Notice 0: Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
Notice 0: Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/placement/replace.def Notice 0: Design: user_proj_example Notice 0: Created 612 pins. Notice 0: Created 1530 components and 3659 component-terminals. Notice 0: Created 651 nets and 388 connections.
Notice 0: Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/placement/replace.def
[INFO]: Setting output delay to: 0.0 [INFO]: Setting input delay to: 0.0 [INFO]: Setting load to: 0.01765 =============== Initial Reports ============= No paths found. Capacitance violations: 0 Transition violations: 0 wns 0.00 tns 0.00 Initial area: 33320 um2 OpenPhySyn timing repair: [OpenPhySyn] [2020-12-01 20:13:47.621] [info] Invoking repair_timing transform [OpenPhySyn] [2020-12-01 20:13:47.638] [info] Buffer library: sky130_fd_sc_hd__buf_4, sky130_fd_sc_hd__buf_8, sky130_fd_sc_hd__buf_1 [OpenPhySyn] [2020-12-01 20:13:47.638] [info] Inverter library: None [OpenPhySyn] [2020-12-01 20:13:47.638] [info] Buffering: enabled [OpenPhySyn] [2020-12-01 20:13:47.638] [info] Driver sizing: enabled [OpenPhySyn] [2020-12-01 20:13:47.638] [info] Pin-swapping: enabled [OpenPhySyn] [2020-12-01 20:13:47.638] [info] Mode: Timing-Driven [OpenPhySyn] [2020-12-01 20:13:47.638] [info] Iteration 1 [OpenPhySyn] [2020-12-01 20:13:47.804] [info] No more violations or cannot find more optimal buffer [OpenPhySyn] [2020-12-01 20:13:47.804] [info] Runtime: 0s [OpenPhySyn] [2020-12-01 20:13:47.804] [info] Buffers: 0 [OpenPhySyn] [2020-12-01 20:13:47.804] [info] Resize up: 0 [OpenPhySyn] [2020-12-01 20:13:47.804] [info] Resize down: 0 [OpenPhySyn] [2020-12-01 20:13:47.804] [info] Pin Swap: 0 [OpenPhySyn] [2020-12-01 20:13:47.804] [info] Buffered nets: 0 [OpenPhySyn] [2020-12-01 20:13:47.804] [info] Fanout violations: 0 [OpenPhySyn] [2020-12-01 20:13:47.804] [info] Transition violations: 0 [OpenPhySyn] [2020-12-01 20:13:47.804] [info] Capacitance violations: 0 [OpenPhySyn] [2020-12-01 20:13:47.804] [info] Slack gain: 0.0 [OpenPhySyn] [2020-12-01 20:13:47.804] [info] Initial area: 3332 [OpenPhySyn] [2020-12-01 20:13:47.804] [info] New area: 3332
[OpenPhySyn] [2020-12-01 20:13:47.804] [info] Finished repair_timing transform (0)
Added/updated 0 cells =============== Final Reports ============= No paths found. Capacitance violations: 0 Transition violations: 0 wns 0.00 tns 0.00 Final area: 33320 um2 Export optimized design
[INFO]: Changing layout from /project/openlane/user_proj_example/runs/user_proj_example/tmp/placement/replace.def to /project/openlane/user_proj_example/runs/user_proj_example/tmp/placement/openphysyn.def
[INFO]: Writing Verilog...
OpenROAD 0.9.0 d03ebfc244 This program is licensed under the BSD-3 license. See the LICENSE file for details. Components of this program may be licensed under more restrictive licenses which must be honored. Notice 0: Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef Notice 0: Created 11 technology layers Notice 0: Created 25 technology vias Notice 0: Created 464 library cells
Notice 0: Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
Notice 0: Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/placement/openphysyn.def Notice 0: Design: user_proj_example Notice 0: Created 612 pins. Notice 0: Created 1530 components and 3659 component-terminals. Notice 0: Created 651 nets and 388 connections.
Notice 0: Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/placement/openphysyn.def
[INFO]: Changing netlist from /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v to /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v
[INFO]: Running Static Timing Analysis...
OpenSTA 2.2.0 7662c12482 Copyright (c) 2019, Parallax Software, Inc. License GPLv3: GNU GPL version 3 This is free software, and you are free to change and redistribute it under certain conditions; type `show_copying' for details. This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.
Warning: /media/philipp/Daten/skywater/open_pdks/sky130/sky130A/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib, line 31 default_operating_condition ff_n40C_1v95 not found.
Warning: /media/philipp/Daten/skywater/open_pdks/sky130/sky130A/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib, line 32 default_operating_condition ss_100C_1v60 not found.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 50 module AND2X1 not found. Creating black box for AND2X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 55 module AND2X2 not found. Creating black box for AND2X2.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 60 module AOI21X1 not found. Creating black box for AOI21X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 66 module AOI22X1 not found. Creating black box for AOI22X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 73 module BUFX2 not found. Creating black box for BUFX2.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 77 module BUFX4 not found. Creating black box for BUFX4.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 81 module CLKBUF1 not found. Creating black box for CLKBUF1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 85 module HAX1 not found. Creating black box for HAX1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 91 module INV not found. Creating black box for INV.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 95 module INVX1 not found. Creating black box for INVX1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 99 module INVX2 not found. Creating black box for INVX2.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 103 module INVX4 not found. Creating black box for INVX4.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 107 module INVX8 not found. Creating black box for INVX8.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 111 module LATCH not found. Creating black box for LATCH.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 116 module MUX2X1 not found. Creating black box for MUX2X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 122 module NAND2X1 not found. Creating black box for NAND2X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 127 module NAND3X1 not found. Creating black box for NAND3X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 133 module NOR2X1 not found. Creating black box for NOR2X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 138 module NOR3X1 not found. Creating black box for NOR3X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 144 module OAI21X1 not found. Creating black box for OAI21X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 150 module OAI22X1 not found. Creating black box for OAI22X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 157 module OR2X1 not found. Creating black box for OR2X1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 162 module OR2X2 not found. Creating black box for OR2X2.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 167 module TBUFX1 not found. Creating black box for TBUFX1.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 172 module TBUFX2 not found. Creating black box for TBUFX2.
Warning: /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v, line 642 module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for PHY_204.
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD) set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] puts "\[INFO\]: Setting output delay to: $output_delay_value" [INFO]: Setting output delay to: 0.0 puts "\[INFO\]: Setting input delay to: $input_delay_value" [INFO]: Setting input delay to: 0.0 set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]] #set rst_indx [lsearch [all_inputs] [get_port resetn]] set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx] #set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx] set all_inputs_wo_clk_rst $all_inputs_wo_clk # correct resetn set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst #set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn} set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs] # TODO set this as parameter set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] puts "\[INFO\]: Setting load to: $cap_load" [INFO]: Setting load to: 0.01765 set_load $cap_load [all_outputs] tns 0.00 wns 0.00
[INFO]: Running Detailed Placement...
OpenROAD 0.9.0 d03ebfc244 This program is licensed under the BSD-3 license. See the LICENSE file for details. Components of this program may be licensed under more restrictive licenses which must be honored. Notice 0: Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef Notice 0: Created 11 technology layers Notice 0: Created 25 technology vias Notice 0: Created 464 library cells
Notice 0: Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
Notice 0: Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/placement/openphysyn.def Notice 0: Design: user_proj_example Notice 0: Created 612 pins. Notice 0: Created 1530 components and 3659 component-terminals. Notice 0: Created 651 nets and 388 connections.
Notice 0: Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/placement/openphysyn.def
detailed_placement...
Warning: could not find power special net
Design Stats -------------------------------- total instances 1530 multi row instances 0 fixed instances 1269 nets 651 design area 80146.9 u^2 fixed area 2352.3 u^2 movable area 979.7 u^2 utilization 1 % utilization padded 2 % rows 102 row height 2.7 u Placement Analysis -------------------------------- total displacement 445.8 u average displacement 0.3 u max displacement 5.9 u original HPWL 73068.9 u legalized HPWL 72867.7 u delta HPWL -0 % check_placement...
[INFO]: Changing layout from /project/openlane/user_proj_example/runs/user_proj_example/tmp/placement/openphysyn.def to /project/openlane/user_proj_example/runs/user_proj_example/results/placement/user_proj_example.placement.def
[INFO]: Changing layout from /project/openlane/user_proj_example/runs/user_proj_example/results/placement/user_proj_example.placement.def to /project/openlane/user_proj_example/runs/user_proj_example/results/placement/user_proj_example.placement.def
[INFO]: Generating PDN...
OpenROAD 0.9.0 d03ebfc244 This program is licensed under the BSD-3 license. See the LICENSE file for details. Components of this program may be licensed under more restrictive licenses which must be honored. Notice 0: Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef Notice 0: Created 11 technology layers Notice 0: Created 25 technology vias Notice 0: Created 464 library cells
Notice 0: Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
Notice 0: Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/results/placement/user_proj_example.placement.def Notice 0: Design: user_proj_example Notice 0: Created 612 pins. Notice 0: Created 1530 components and 3659 component-terminals. Notice 0: Created 651 nets and 388 connections.
Notice 0: Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/results/placement/user_proj_example.placement.def
[INFO] [PDNG-0016] Power Delivery Network Generator: Generating PDN [INFO] [PDNG-0016] config: /media/philipp/Daten/skywater/open_pdks/sky130/sky130A/sky130A/libs.tech/openlane/common_pdn.tcl [INFO] [PDNG-0008] Design Name is user_proj_example [INFO] [PDNG-0009] Reading technology data [INFO] [PDNG-0011] ****** INFO ****** Type: stdcell, grid Stdcell Rails Layer: met1 - width: 0.480 pitch: 2.720 offset: 0.000 Straps Layer: met4 - width: 1.600 pitch: 153.600 offset: 16.320 Layer: met5 - width: 1.600 pitch: 153.180 offset: 16.650 Connect: {met1 met4} {met4 met5} Type: macro, macro_1 Macro orientation: R0 R180 MX MY R90 R270 MXR90 MYR90 Straps Connect: [INFO] [PDNG-0012] **** END INFO **** [INFO] [PDNG-0013] Inserting stdcell grid - grid [INFO] [PDNG-0015] Writing to database
[INFO]: Changing layout from /project/openlane/user_proj_example/runs/user_proj_example/results/placement/user_proj_example.placement.def to /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/pdn.def
[INFO]: Routing...
[INFO]: Running Global Routing...
OpenROAD 0.9.0 d03ebfc244 This program is licensed under the BSD-3 license. See the LICENSE file for details. Components of this program may be licensed under more restrictive licenses which must be honored.
Warning: /media/philipp/Daten/skywater/open_pdks/sky130/sky130A/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib, line 31 default_operating_condition tt_025C_1v80 not found.
Notice 0: Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef Notice 0: Created 11 technology layers Notice 0: Created 25 technology vias Notice 0: Created 464 library cells
Notice 0: Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 pin VPB missing from liberty cell
Notice 0: Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/pdn.def Notice 0: Design: user_proj_example Notice 0: Created 614 pins. Notice 0: Created 1530 components and 3659 component-terminals. Notice 0: Created 2 special nets and 0 connections. Notice 0: Created 651 nets and 388 connections.
Notice 0: Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/pdn.def
[PARAMS] Min routing layer: 2 [PARAMS] Max routing layer: 6 [PARAMS] Global adjustment: 0 [PARAMS] Unidirectional routing: 1 [PARAMS] Grid origin: (-1, -1) [INFO] #DB Obstructions: 0 [INFO] #DB Obstacles: 11298 [INFO] #DB Macros: 0 [INFO] Found 0 clock nets [INFO] Minimum degree: 2 [INFO] Maximum degree: 26 [INFO] Processing 6950 obstacles on layer 1 [INFO] Processing 3113 obstacles on layer 2 [INFO] Processing 343 obstacles on layer 3 [INFO] Processing 4 obstacles on layer 5 [INFO] Processing 4 obstacles on layer 6 [INFO] Reducing resources of layer 1 by 99% [INFO] WIRELEN : 9952, WIRELEN1 : 0 [INFO] NumSeg : 338 [INFO] NumShift: 0 First L Route [INFO] WIRELEN : 9952, WIRELEN1 : 9952 [INFO] NumSeg : 338 [INFO] NumShift: 0 [Overflow Report] Total hCap : 53510 [Overflow Report] Total vCap : 39888 [Overflow Report] Total Usage : 9952 [Overflow Report] Max H Overflow: 0 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 0 [Overflow Report] Num Overflow e: 0 [Overflow Report] H Overflow : 0 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 0 Second L Route [Overflow Report] Total hCap : 53510 [Overflow Report] Total vCap : 39888 [Overflow Report] Total Usage : 9952 [Overflow Report] Max H Overflow: 0 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 0 [Overflow Report] Num Overflow e: 0 [Overflow Report] H Overflow : 0 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 0 First Z Route [Overflow Report] Total hCap : 53510 [Overflow Report] Total vCap : 39888 [Overflow Report] Total Usage : 9952 [Overflow Report] Max H Overflow: 0 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 0 [Overflow Report] Num Overflow e: 0 [Overflow Report] H Overflow : 0 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 0 [INFO] LV routing round 0, enlarge 10 [INFO] 10 threshold, 10 expand [Overflow Report] total Usage : 9952 [Overflow Report] Max H Overflow: 5 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 5 [Overflow Report] Num Overflow e: 8 [Overflow Report] H Overflow : 25 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 25 [INFO] LV routing round 1, enlarge 15 [INFO] 5 threshold, 15 expand [Overflow Report] total Usage : 9954 [Overflow Report] Max H Overflow: 0 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 0 [Overflow Report] Num Overflow e: 0 [Overflow Report] H Overflow : 0 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 0 [INFO] LV routing round 2, enlarge 20 [INFO] 1 threshold, 20 expand [Overflow Report] total Usage : 9954 [Overflow Report] Max H Overflow: 0 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 0 [Overflow Report] Num Overflow e: 0 [Overflow Report] H Overflow : 0 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 0 Usage checked
Maze routing finished
[INFO] P3 runtime: 0.000000 sec [INFO] Final 2D results: [Overflow Report] total Usage : 9954 [Overflow Report] Max H Overflow: 0 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 0 [Overflow Report] Num Overflow e: 0 [Overflow Report] H Overflow : 0 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 0 Layer Assignment Begins
Layer assignment finished
[INFO] 2D + Layer Assignment Runtime: 66.040001 sec Post Processing Begins
Post Processsing finished
Starting via filling [INFO] Via related to pin nodes 929 [INFO] Via related stiner nodes 0
Via filling finished
Final usage/overflow report: [INFO] Usage per layer: Layer 1 usage: 0 Layer 2 usage: 4074 Layer 3 usage: 5742 Layer 4 usage: 100 Layer 5 usage: 38 Layer 6 usage: 0 [INFO] Capacity per layer: Layer 1 capacity: 0 Layer 2 capacity: 31754 Layer 3 capacity: 27280 Layer 4 capacity: 18186 Layer 5 capacity: 12608 Layer 6 capacity: 3570 [INFO] Use percentage per layer: Layer 1 use percentage: 0.0% Layer 2 use percentage: 12.83% Layer 3 use percentage: 21.05% Layer 4 use percentage: 0.55% Layer 5 use percentage: 0.30% Layer 6 use percentage: 0.00% [INFO] Overflow per layer: Layer 1 overflow: 0 Layer 2 overflow: 0 Layer 3 overflow: 0 Layer 4 overflow: 0 Layer 5 overflow: 0 Layer 6 overflow: 0 [Overflow Report] Total Usage : 9954 [Overflow Report] Total Capacity: 93398 [Overflow Report] Max H Overflow: 0 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 0 [Overflow Report] H Overflow : 0 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 0 [INFO] Final usage : 9954 [INFO] Final number of vias : 1276 [INFO] Final usage 3D : 13782 [INFO] Total wirelength: 78349 um Notice 0: Split top of 1 T shapes. Notice 0: Split top of 1 T shapes. Notice 0: Split top of 1 T shapes. Notice 0: Split top of 1 T shapes. Notice 0: Split top of 24 T shapes. Notice 0: Split top of 24 T shapes. Notice 0: Split top of 1 T shapes. Notice 0: Split top of 1 T shapes. Notice 0: Split top of 1 T shapes. Notice 0: Split top of 1 T shapes. Notice 0: Split top of 1 T shapes. Repairing antennas...
[WARNING]No OR_DEFAULT vias defined
[INFO] #Antenna violations: 0
Warning: Overlap check failed (2).
[INFO] Num routed nets: 301
[INFO]: Changing layout from /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/pdn.def to /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/fastroute.def
OpenROAD 0.9.0 d03ebfc244 This program is licensed under the BSD-3 license. See the LICENSE file for details. Components of this program may be licensed under more restrictive licenses which must be honored.
Warning: /media/philipp/Daten/skywater/open_pdks/sky130/sky130A/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib, line 31 default_operating_condition tt_025C_1v80 not found.
Notice 0: Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef Notice 0: Created 11 technology layers Notice 0: Created 25 technology vias Notice 0: Created 464 library cells
Notice 0: Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 pin VPB missing from liberty cell
Warning: LEF macro sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 pin VPB missing from liberty cell
Notice 0: Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/fastroute.def Notice 0: Design: user_proj_example Notice 0: Created 614 pins. Notice 0: Created 1530 components and 3659 component-terminals. Notice 0: Created 2 special nets and 0 connections. Notice 0: Created 651 nets and 388 connections.
Notice 0: Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/fastroute.def
[PARAMS] Min routing layer: 2 [PARAMS] Max routing layer: 6 [PARAMS] Global adjustment: 0 [PARAMS] Unidirectional routing: 1 [PARAMS] Grid origin: (-1, -1) [INFO] #DB Obstructions: 0 [INFO] #DB Obstacles: 11298 [INFO] #DB Macros: 0 [INFO] Found 0 clock nets [INFO] Minimum degree: 2 [INFO] Maximum degree: 26 [INFO] Processing 6950 obstacles on layer 1 [INFO] Processing 3113 obstacles on layer 2 [INFO] Processing 343 obstacles on layer 3 [INFO] Processing 4 obstacles on layer 5 [INFO] Processing 4 obstacles on layer 6 [INFO] Reducing resources of layer 1 by 99% [INFO] WIRELEN : 9952, WIRELEN1 : 0 [INFO] NumSeg : 338 [INFO] NumShift: 0 First L Route [INFO] WIRELEN : 9952, WIRELEN1 : 9952 [INFO] NumSeg : 338 [INFO] NumShift: 0 [Overflow Report] Total hCap : 53510 [Overflow Report] Total vCap : 39888 [Overflow Report] Total Usage : 9952 [Overflow Report] Max H Overflow: 0 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 0 [Overflow Report] Num Overflow e: 0 [Overflow Report] H Overflow : 0 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 0 Second L Route [Overflow Report] Total hCap : 53510 [Overflow Report] Total vCap : 39888 [Overflow Report] Total Usage : 9952 [Overflow Report] Max H Overflow: 0 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 0 [Overflow Report] Num Overflow e: 0 [Overflow Report] H Overflow : 0 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 0 First Z Route [Overflow Report] Total hCap : 53510 [Overflow Report] Total vCap : 39888 [Overflow Report] Total Usage : 9952 [Overflow Report] Max H Overflow: 0 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 0 [Overflow Report] Num Overflow e: 0 [Overflow Report] H Overflow : 0 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 0 [INFO] LV routing round 0, enlarge 10 [INFO] 10 threshold, 10 expand [Overflow Report] total Usage : 9952 [Overflow Report] Max H Overflow: 5 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 5 [Overflow Report] Num Overflow e: 8 [Overflow Report] H Overflow : 25 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 25 [INFO] LV routing round 1, enlarge 15 [INFO] 5 threshold, 15 expand [Overflow Report] total Usage : 9954 [Overflow Report] Max H Overflow: 0 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 0 [Overflow Report] Num Overflow e: 0 [Overflow Report] H Overflow : 0 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 0 [INFO] LV routing round 2, enlarge 20 [INFO] 1 threshold, 20 expand [Overflow Report] total Usage : 9954 [Overflow Report] Max H Overflow: 0 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 0 [Overflow Report] Num Overflow e: 0 [Overflow Report] H Overflow : 0 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 0 Usage checked
Maze routing finished
[INFO] P3 runtime: 0.000000 sec [INFO] Final 2D results: [Overflow Report] total Usage : 9954 [Overflow Report] Max H Overflow: 0 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 0 [Overflow Report] Num Overflow e: 0 [Overflow Report] H Overflow : 0 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 0 Layer Assignment Begins
Layer assignment finished
[INFO] 2D + Layer Assignment Runtime: 58.680000 sec Post Processing Begins
Post Processsing finished
Starting via filling [INFO] Via related to pin nodes 936 [INFO] Via related stiner nodes 0
Via filling finished
Final usage/overflow report: [INFO] Usage per layer: Layer 1 usage: 0 Layer 2 usage: 4074 Layer 3 usage: 5742 Layer 4 usage: 100 Layer 5 usage: 38 Layer 6 usage: 0 [INFO] Capacity per layer: Layer 1 capacity: 0 Layer 2 capacity: 31754 Layer 3 capacity: 27280 Layer 4 capacity: 18186 Layer 5 capacity: 12608 Layer 6 capacity: 3570 [INFO] Use percentage per layer: Layer 1 use percentage: 0.0% Layer 2 use percentage: 12.83% Layer 3 use percentage: 21.05% Layer 4 use percentage: 0.55% Layer 5 use percentage: 0.30% Layer 6 use percentage: 0.00% [INFO] Overflow per layer: Layer 1 overflow: 0 Layer 2 overflow: 0 Layer 3 overflow: 0 Layer 4 overflow: 0 Layer 5 overflow: 0 Layer 6 overflow: 0 [Overflow Report] Total Usage : 9954 [Overflow Report] Total Capacity: 93398 [Overflow Report] Max H Overflow: 0 [Overflow Report] Max V Overflow: 0 [Overflow Report] Max Overflow : 0 [Overflow Report] H Overflow : 0 [Overflow Report] V Overflow : 0 [Overflow Report] Final Overflow: 0 [INFO] Final usage : 9954 [INFO] Final number of vias : 1276 [INFO] Final usage 3D : 13782 [INFO] Total wirelength: 78294 um [INFO] Num routed nets: 301
Warning: /media/philipp/Daten/skywater/open_pdks/sky130/sky130A/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib, line 32 default_operating_condition ss_100C_1v60 not found.
Warning: /media/philipp/Daten/skywater/open_pdks/sky130/sky130A/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib, line 31 default_operating_condition ff_n40C_1v95 not found.
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD) set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] puts "\[INFO\]: Setting output delay to: $output_delay_value" [INFO]: Setting output delay to: 0.0 puts "\[INFO\]: Setting input delay to: $input_delay_value" [INFO]: Setting input delay to: 0.0 set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]] #set rst_indx [lsearch [all_inputs] [get_port resetn]] set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx] #set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx] set all_inputs_wo_clk_rst $all_inputs_wo_clk # correct resetn set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst #set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn} set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs] # TODO set this as parameter set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] puts "\[INFO\]: Setting load to: $cap_load" [INFO]: Setting load to: 0.01765 set_load $cap_load [all_outputs]
Warning: missing route to pin TBUFX1/vdd
Warning: missing route to pin OR2X2/vdd
Warning: missing route to pin OR2X1/vdd
Warning: missing route to pin OAI22X1/vdd
Warning: missing route to pin NOR2X1/vdd
Warning: missing route to pin NAND3X1/vdd
Warning: missing route to pin NAND2X1/vdd
Warning: missing route to pin MUX2X1/vdd
Warning: missing route to pin LATCH/vdd
Warning: missing route to pin INVX8/vdd
Warning: missing route to pin INVX4/vdd
Warning: missing route to pin INVX2/vdd
Warning: missing route to pin INVX1/vdd
Warning: missing route to pin INV/vdd
Warning: missing route to pin HAX1/vdd
Warning: missing route to pin CLKBUF1/vdd
Warning: missing route to pin BUFX4/vdd
Warning: missing route to pin BUFX2/vdd
Warning: missing route to pin AOI22X1/vdd
Warning: missing route to pin OR2X2/gnd
Warning: missing route to pin OR2X1/gnd
Warning: missing route to pin OAI22X1/gnd
Warning: missing route to pin NAND3X1/gnd
Warning: missing route to pin NAND2X1/gnd
Warning: missing route to pin MUX2X1/gnd
Warning: missing route to pin LATCH/gnd
Warning: missing route to pin INVX8/gnd
Warning: missing route to pin INVX4/gnd
Warning: missing route to pin INVX2/gnd
Warning: missing route to pin INVX1/gnd
Warning: missing route to pin INV/gnd
Warning: missing route to pin HAX1/gnd
Warning: missing route to pin CLKBUF1/gnd
Warning: missing route to pin BUFX4/gnd
Warning: missing route to pin BUFX2/gnd
Warning: missing route to pin AOI22X1/gnd
Warning: missing route to pin AOI21X1/gnd
[INFO]: Changing layout from /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/fastroute.def to /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/fastroute.def
[INFO]: Current Def is /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/fastroute.def
[INFO]: Running Fill Insertion...
OpenROAD 0.9.0 d03ebfc244 This program is licensed under the BSD-3 license. See the LICENSE file for details. Components of this program may be licensed under more restrictive licenses which must be honored. Notice 0: Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef Notice 0: Created 11 technology layers Notice 0: Created 25 technology vias Notice 0: Created 464 library cells
Notice 0: Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
Notice 0: Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/fastroute.def Notice 0: Design: user_proj_example Notice 0: Created 614 pins. Notice 0: Created 1530 components and 3659 component-terminals. Notice 0: Created 2 special nets and 0 connections. Notice 0: Created 651 nets and 388 connections.
Notice 0: Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/fastroute.def
Placed 5694 filler instances.
[INFO]: Changing layout from /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/fastroute.def to /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/addspacers.def
[INFO]: Writing Verilog...
OpenROAD 0.9.0 d03ebfc244 This program is licensed under the BSD-3 license. See the LICENSE file for details. Components of this program may be licensed under more restrictive licenses which must be honored. Notice 0: Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef Notice 0: Created 11 technology layers Notice 0: Created 25 technology vias Notice 0: Created 464 library cells
Notice 0: Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
Notice 0: Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/addspacers.def Notice 0: Design: user_proj_example Notice 0: Created 614 pins. Notice 0: Created 7224 components and 15047 component-terminals. Notice 0: Created 2 special nets and 0 connections. Notice 0: Created 651 nets and 388 connections.
Notice 0: Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/addspacers.def
[INFO]: Changing netlist from /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_optimized.v to /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis_preroute.v
[INFO]: Running Detailed Routing...
reading lef ...
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
Error: unsupported lefiGeometries in getLefPins!
units: 1000 #layers: 13 #macros: 464 #vias: 25 #viarulegen: 25 reading def ... design: user_proj_example die area: ( 0 0 ) ( 300000 300000 ) trackPts: 12 defvias: 4 #components: 7224 #terminals: 614 #snets: 2 #nets: 651 reading guide ... #guides: 1466
Warning: met2 does not have viaDef align with layer direction, generating new viaDef via2_FR...
Warning: met4 does not have viaDef align with layer direction, generating new viaDef via4_FR...
done initConstraintLayerIdx List of default vias: Layer mcon default via: L1M1_PR_MR Layer via default via: M1M2_PR Layer via2 default via: via2_FR Layer via3 default via: M3M4_PR_M Layer via4 default via: via4_FR Writing reference output def... libcell analysis ...
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
Error: instAnalysis unsupported pinFig
instance analysis ... #unique instances = 45 init region query ...
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
Error: unsupported region query add
complete FR_MASTERSLICE complete FR_VIA complete li1 complete mcon complete met1 complete via complete met2 complete via2 complete met3 complete via3 complete met4 complete via4 complete met5 FR_MASTERSLICE shape region query size = 0 FR_VIA shape region query size = 0 li1 shape region query size = 39826 mcon shape region query size = 127660 met1 shape region query size = 14707 via shape region query size = 824 met2 shape region query size = 1112 via2 shape region query size = 824 met3 shape region query size = 414 via3 shape region query size = 824 met4 shape region query size = 218 via4 shape region query size = 8 met5 shape region query size = 14 start pin access complete 100 pins complete 131 pins
Error: no valid pattern for unique instance NAND3X1, refBlock is NAND3X1
pin ordering (with ap): C (41.4, 101.66) (41.4, 102.34) (41.4, 102) Y (40.94, 101.66) (40.94, 102.34) (41.17, 102.68) (41.63, 102.68) (42.09, 102.68) (42.55, 102.68) vdd (40.71, 103.36) (41.17, 103.36) (41.63, 103.36) (42.09, 103.36) (42.55, 103.36) (43.01, 103.36) (43.47, 103.36) (43.93, 103.36) gnd (40.71, 100.64) (41.17, 100.64) (41.63, 100.64) (42.09, 100.64) (42.55, 100.64) (43.01, 100.64) (43.47, 100.64) (43.93, 100.64) B (42.32, 101.66) (42.32, 102.34) (42.32, 102) A (43.24, 101.66) (43.24, 102.34) (43.24, 102)
Error: no valid pattern for unique instance INVX8, refBlock is INVX8
pin ordering (with ap): vdd (40.71, 81.6) (41.17, 81.6) (41.63, 81.6) (42.09, 81.6) (42.55, 81.6) (43.01, 81.6) (43.47, 81.6) (43.93, 81.6) (44.39, 81.6) (44.85, 81.6) gnd (40.71, 78.88) (41.17, 78.88) (41.63, 78.88) (42.09, 78.88) (42.55, 78.88) (43.01, 78.88) (43.47, 78.88) (43.93, 78.88) (44.39, 78.88) (44.85, 78.88) Y (40.94, 79.9) (40.94, 80.58) (44.62, 79.9) (44.62, 80.58) A (41.4, 79.9) (41.4, 80.58) (42.32, 79.9) (42.32, 80.58) (43.24, 79.9) (43.24, 80.58) (44.16, 79.9) (44.16, 80.58)
Error: no valid pattern for unique instance OAI21X1, refBlock is OAI21X1
pin ordering (with ap): B (41.4, 117.98) (41.4, 118.66) (41.4, 118.32) vdd (40.71, 119.68) (41.17, 119.68) (41.63, 119.68) (42.09, 119.68) (42.55, 119.68) (43.01, 119.68) (43.47, 119.68) (43.93, 119.68) gnd (40.71, 116.96) (41.17, 116.96) (41.63, 116.96) (42.09, 116.96) (42.55, 116.96) (43.01, 116.96) (43.47, 116.96) (43.93, 116.96) A (42.32, 117.98) (42.32, 118.66) (42.32, 118.32) Y (43.7, 117.98) (43.7, 118.66) (41.17, 119) (41.63, 119) (42.09, 119) (42.55, 119) (43.01, 119) (43.47, 119) C (43.24, 117.98) (43.24, 118.66) (43.24, 118.32)
Error: no valid pattern for unique instance INVX4, refBlock is INVX4
pin ordering (with ap): vdd (40.71, 76.16) (41.17, 76.16) (41.63, 76.16) (42.09, 76.16) (42.55, 76.16) (43.01, 76.16) gnd (40.71, 73.44) (41.17, 73.44) (41.63, 73.44) (42.09, 73.44) (42.55, 73.44) (43.01, 73.44) Y (40.94, 74.46) (40.94, 75.14) (42.78, 74.46) (42.78, 75.14) A (41.4, 74.46) (41.4, 75.14) (42.32, 74.46) (42.32, 75.14)
Error: no valid pattern for unique instance OAI22X1, refBlock is OAI22X1
pin ordering (with ap): D (41.4, 124.1) (41.4, 124.025) C (42.32, 124.1) (42.32, 124.025) Y (41.86, 123.08) (40.94, 124.44) (44.62, 124.44) vdd (40.71, 125.12) (41.17, 125.12) (41.63, 125.12) (42.09, 125.12) (42.55, 125.12) (43.01, 125.12) (43.47, 125.12) (43.93, 125.12) (44.39, 125.12) (44.85, 125.12) gnd (40.71, 122.4) (41.17, 122.4) (41.63, 122.4) (42.09, 122.4) (42.55, 122.4) (43.01, 122.4) (43.47, 122.4) (43.93, 122.4) (44.39, 122.4) (44.85, 122.4) A (43.24, 123.42) (43.24, 124.1) (43.24, 123.76) B (44.16, 123.42) (44.16, 124.1) (44.16, 123.76)
Error: no valid pattern for unique instance BUFX4, refBlock is BUFX4
pin ordering (with ap): A (41.4, 42.5) (41.4, 42.425) vdd (40.71, 43.52) (41.17, 43.52) (41.63, 43.52) (42.09, 43.52) (42.55, 43.52) (43.01, 43.52) (43.47, 43.52) (43.93, 43.52) gnd (40.71, 40.8) (41.17, 40.8) (41.63, 40.8) (42.09, 40.8) (42.55, 40.8) (43.01, 40.8) (43.47, 40.8) (43.93, 40.8) Y (42.78, 41.48) (42.78, 42.84) (42.78, 41.515) (42.78, 42.815)
Error: no valid pattern for unique instance NOR2X1, refBlock is NOR2X1
pin ordering (with ap): B (41.4, 107.1) (41.4, 107.78) (41.4, 107.44) Y (40.94, 107.1) (40.94, 107.78) (41.17, 106.76) (41.63, 106.76) (42.09, 106.76) (42.55, 106.76) vdd (40.71, 108.8) (41.17, 108.8) (41.63, 108.8) (42.09, 108.8) (42.55, 108.8) (43.01, 108.8) gnd (40.71, 106.08) (41.17, 106.08) (41.63, 106.08) (42.09, 106.08) (42.55, 106.08) (43.01, 106.08) A (42.32, 107.1) (42.32, 107.78) (42.32, 107.44)
Error: no valid pattern for unique instance HAX1, refBlock is HAX1
pin ordering (with ap): YC (41.86, 52.36) (41.86, 53.72) (41.86, 52.395) (41.86, 53.695) vdd (40.71, 54.4) (41.17, 54.4) (41.63, 54.4) (42.09, 54.4) (42.55, 54.4) (43.01, 54.4) (43.47, 54.4) (43.93, 54.4) (44.39, 54.4) (44.85, 54.4) (45.31, 54.4) (45.77, 54.4) (46.23, 54.4) (46.69, 54.4) (47.15, 54.4) (47.61, 54.4) (48.07, 54.4) (48.53, 54.4) (48.99, 54.4) (49.45, 54.4) gnd (40.71, 51.68) (41.17, 51.68) (41.63, 51.68) (42.09, 51.68) (42.55, 51.68) (43.01, 51.68) (43.47, 51.68) (43.93, 51.68) (44.39, 51.68) (44.85, 51.68) (45.31, 51.68) (45.77, 51.68) (46.23, 51.68) (46.69, 51.68) (47.15, 51.68) (47.61, 51.68) (48.07, 51.68) (48.53, 51.68) (48.99, 51.68) (49.45, 51.68) A (44.16, 53.38) (46, 53.38) (44.39, 53.305) (44.85, 53.305) (45.31, 53.305) (45.77, 53.305) B (43.24, 53.38) (46.92, 53.38) (43.24, 53.305) (46.92, 53.305) YS (49.22, 52.7) (49.22, 53.38) (49.22, 52.36) (49.22, 53.04) (49.22, 53.72)
Error: no valid pattern for unique instance LATCH, refBlock is LATCH
pin ordering (with ap): D (42.32, 85.34) (42.32, 85.49) CLK (41.4, 85.34) (43.7, 86.02) (44.16, 86.02) vdd (40.71, 87.04) (41.17, 87.04) (41.63, 87.04) (42.09, 87.04) (42.55, 87.04) (43.01, 87.04) (43.47, 87.04) (43.93, 87.04) (44.39, 87.04) (44.85, 87.04) (45.31, 87.04) (45.77, 87.04) (46.23, 87.04) (46.69, 87.04) gnd (40.71, 84.32) (41.17, 84.32) (41.63, 84.32) (42.09, 84.32) (42.55, 84.32) (43.01, 84.32) (43.47, 84.32) (43.93, 84.32) (44.39, 84.32) (44.85, 84.32) (45.31, 84.32) (45.77, 84.32) (46.23, 84.32) (46.69, 84.32) Q (46.46, 85.34) (46.46, 86.02) (45.08, 85.34) (45.08, 86.02)
Error: no valid pattern for unique instance AOI21X1, refBlock is AOI21X1
pin ordering (with ap): B (41.4, 25.5) (41.4, 26.18) (41.4, 25.84) vdd (40.71, 27.2) (41.17, 27.2) (41.63, 27.2) (42.09, 27.2) (42.55, 27.2) (43.01, 27.2) (43.47, 27.2) (43.93, 27.2) gnd (40.71, 24.48) (41.17, 24.48) (41.63, 24.48) (42.09, 24.48) (42.55, 24.48) (43.01, 24.48) (43.47, 24.48) (43.93, 24.48) A (42.32, 25.5) (42.32, 26.18) (42.32, 25.84) Y (43.7, 25.5) (43.7, 26.18) (41.17, 25.16) (41.63, 25.16) (42.09, 25.16) (42.55, 25.16) (43.01, 25.16) (43.47, 25.16) C (43.24, 25.5) (43.24, 26.18) (43.24, 25.84)
Error: no valid pattern for unique instance MUX2X1, refBlock is MUX2X1
pin ordering (with ap): A (42.32, 90.78) (42.32, 90.93) S (41.4, 90.78) (43.7, 91.46) (44.16, 91.46) vdd (40.71, 92.48) (41.17, 92.48) (41.63, 92.48) (42.09, 92.48) (42.55, 92.48) (43.01, 92.48) (43.47, 92.48) (43.93, 92.48) (44.39, 92.48) (44.85, 92.48) (45.31, 92.48) (45.77, 92.48)
gnd (40.71, 89.76) (41.17, 89.76) (41.63, 89.76) (Error: no valid pattern for unique instance BUFX2, refBlock is BUFX2
pin ordering (with ap): A (41.4, 37.06) (41.4, 36.985) vdd (40.71, 38.08) (41.17, 38.08) (41.63, 38.08) (42.09, 38.08) (42.55, 38.08) (42.09, 89.76) (42.55, 89.76) (43.01, 89.76) (43.47, 89.76) (43.93, 89.76) (44.39, 89.76) (44.85, 89.76) (45.31, 89.76) (45.77, 89.76) Y (44.62, 90.78) (44.62, 91.46) (43.93, 90.44) (43.01, 38.08) gnd (40.71, 35.36) (41.17, 35.36) (41.63, 35.36) (42.09, 35.36) (42.55, 35.36) (43.01, 35.36) Y (42.78, 36.38) (42.78, 37.06) (42.78, 36.04) (42.78, 36.72) (42.78, 37.4) 44.39, 90.44) B (45.08, 90.78) (45.08, 91.46) (45.08, 91.12)
Error: no valid pattern for unique instance OR2X1, refBlock is OR2X1
pin ordering (with ap): A (41.4, 128.86) (41.4, 129.54) (41.4, 129.2)
vdd (40.71, 130.56) (41.17, 130.56) (41.63, 130.56) (42.09, 130.56) (42.55, 130.56) (43.01, 130.56) (43.47, 130.56) (43.93, Error: no valid pattern for unique instance NAND2X1, refBlock is NAND2X1
pin ordering (with ap): A (41.4, 96.22) (41.4, 96.9) (41.4, 96.56) vdd (40.71, 97.92) (41.17, 97.92) (41.63, 97.92) (42.09, 97.92) (42.55, 97.92) (43.01, 97.92) gnd (40.71, 95.2) (41.17, 95.2) (41.63, 95.2) (42.09, 130.56) gnd (40.71, 127.84) (41.17, 127.84) (41.63, 127.84) (42.09, 127.84) (42.55, 127.84) (43.01, 127.84) (43.47, 127.84) (43.93, 127.84) B (42.32, 129.54) (42.32, 129.465) Y (43.7, 128.86) (43.7, 129.54) (43.7, 128.52) (43.7, 129.2) (43.7, 95.2) (42.55, 95.2) (43.01, 95.2) Y (42.78, 96.22) (42.78, 96.9) (41.17, 97.24) (41.63, 97.24) (42.09, 97.24) (42.55, 97.24) B (42.32, 96.22) (42.32, 96.9) (42.32, 96.56) 129.88)
Error: no valid pattern for unique instance INVX2, refBlock is INVX2
pin ordering (with ap): Y (40.94, 69.02) (40.94, 69.7) (40.94, 68.68) (40.94, 69.36) (40.94, 70.04) vdd (40.71, 70.72) (41.17, 70.72) (41.63, 70.72) (42.09, 70.72) gnd (40.71, 68) (41.17, 68) (41.63, 68) (42.09, 68) A (41.4, 69.02) (41.4, 69.7) (41.4, 69.36)
Error: no valid pattern for unique instance AND2X2, refBlock is AND2X2
pin ordering (with ap): A (41.4, 20.06) (41.4, 20.74) (41.4, 20.4)
vdd (40.71, 21.76) (41.17, Error: no valid pattern for unique instance NOR3X1, refBlock is NOR3X1
pin ordering (with ap): Y (40.94, 113.22) (41.86, 112.2) (41.86, 112.88) (43.7, 112.2) (40.94, 113.56) (21.76) (41.63, 21.76) (42.09, 21.76) (42.55, 21.76) (43.01, 21.76) (43.47, 21.76) (43.93, 21.76) gnd (40.71, 19.04) (41.17, 19.04) (41.63, 19.04) (42.09, 19.04) (42.55, 19.04) (43.01, 19.04) (43.47, 19.04) (43.93, 19.04) B (42.32, 20.74) (42.32, 20.665) Y (43.7, 20.06) (43.7, 20.74) (43.7, 19.72) (43.7, 20.4) (43.7, 21.08) 46.46, 113.56) B (42.32, 112.54) (42.32, 112.625) (45.08, 113.015) vdd (40.71, 114.24) (41.17, 114.24) (41.63, 114.24) (42.09, 114.24) (42.55, 114.24) (43.01, 114.24) (43.47, 114.24) (43.93, 114.24) (44.39, 114.24) (44.85, 114.24) (45.31, 114.24) (45.77, 114.24) (46.23, 114.24) (46.69, 114.24) gnd (40.71, 111.52) (41.17, 111.52) (41.63, 111.52) (42.09, 111.52) (42.55, 111.52) (43.01, 111.52) (43.47, 111.52) (43.93, 111.52) (44.39, 111.52) (44.85, 111.52) (45.31, 111.52) (45.77, 111.52) (46.23, 111.52) (46.69, 111.52)
A (43.47, 113.015) (43.93, 113.015) (43.24, 113.015) (44.16, Error: no valid pattern for unique instance OR2X2, refBlock is OR2X2
pin ordering (with ap): A (41.4, 134.3) (41.4, 134.98) (41.4, 134.64) vdd (40.71, 136) (41.17, 136) (41.63, 136) (42.09, 136) (42.55, 136) (43.01, 136) (43.47, 136) (43.93, 136) gnd (40.71, 133.28) (41.17, 133.28) (41.63, 133.28) (42.09, 133.28) (42.55, 133.28) (43.01, 133.28) (43.47, 133.28) (43.93, 133.28) B (42.32, 134.98) (42.32, 134.905) Y (43.7, 134.3) (43.7, 134.98) (43.7, 133.96) (43.7, 134.64) (43.7, 135.32) 113.015) (43.7, 113.015) C (41.4, 112.54) (46, 113.22) (41.4, 112.625) (46, 113.145)
Error: no valid pattern for unique instance AOI22X1, refBlock is AOI22X1
pin ordering (with ap): D (41.4, 30.94) (41.4, 31.09) C (42.32, 30.94) (42.32, 31.09) vdd (40.71, 32.64) (41.17, 32.64) (41.63, 32.64) (42.09, 32.64) (42.55, 32.64) (43.01, 32.64) (43.47, 32.64) (43.93, 32.64) (44.39, 32.64) (44.85, 32.64) gnd (40.71, 29.92) (41.17, 29.92) (41.63, 29.92) (42.09, 29.92) (42.55, 29.92) (43.01, 29.92) (43.47, 29.92) (43.93, 29.92) (44.39, 29.92) (44.85, 29.92)
A (43.24, 30.94) (43.24, Error: no valid pattern for unique instance TBUFX2, refBlock is TBUFX2
pin ordering (with ap): EN (41.4, 145.18) (41.4, 145.86) (43.24, 145.18) (44.16, 145.18) vdd (40.71, 146.88) (31.62) (43.24, 31.28) Y (43.01, 30.6) (43.47, 30.6) (43.93, 30.6) (44.39, 30.6) B (44.16, 30.94) (44.16, 31.62) (44.16, 31.28) 41.17, 146.88) (41.63, 146.88) (42.09, 146.88) (42.55, 146.88) (43.01, 146.88) (43.47, 146.88) (43.93, 146.88) (44.39, 146.88) (44.85, 146.88) (45.31, 146.88) (45.77, 146.88) gnd (40.71, 144.16) (41.17, 144.16) (41.63, 144.16) (42.09, 144.16) (42.55, 144.16) (43.01, 144.16) (43.47, 144.16) (43.93, 144.16) (44.39, 144.16) (44.85, 144.16) (45.31, 144.16) (45.77, 144.16) Y (43.7, 144.84) (43.7, 146.2) (43.7, 144.875) (43.7, 146.175) A (45.08, 145.18) (45.08, 145.86) (42.32, 145.86)
Error: no valid pattern for unique instance AND2X1, refBlock is AND2X1
pin ordering (with ap): A (41.4, 14.62) (41.4, 15.3) (41.4, 14.96) vdd (40.71, 16.32) (41.17, 16.32) (41.63, 16.32) (42.09, 16.32) (42.55, 16.32) (43.01, 16.32) (43.47, 16.32) (43.93, 16.32) gnd (40.71, 13.6) (41.17, 13.6) (41.63, 13.6) (42.09, 13.6) (42.55, 13.6) (43.01, 13.6) (43.47, 13.6) (43.93, 13.6) B (42.32, 14.62) (42.32, 15.3) (42.32, 14.96) Y (43.7, 14.62) (43.7, 15.3) (43.7, 14.28) (43.7, 14.96) (43.7, 15.64)
Error: no valid pattern for unique instance CLKBUF1, refBlock is CLKBUF1
pin ordering (with ap): A (41.4, 47.26) (41.4, 47.94) (42.32, 47.26) (42.32, 47.94) vdd (40.71, 48.96) (41.17, 48.96) (41.63, 48.96) (42.09, 48.96) (42.55, 48.96) (43.01, 48.96) (43.47, 48.96) (43.93, 48.96) (44.39, 48.96) (44.85, 48.96) (45.31, 48.96) (45.77, 48.96) (46.23, 48.96) (46.69, 48.96) (47.15, 48.96) (47.61, 48.96) (48.07, 48.96) (48.53, 48.96)
gnd (40.71, 46.24) (41.17, 46.24) (41.63, 46.24) (42.09, 46.24) (42.55, 46.24) (43.01, 46.24) (43.47, 46.24) (43.93, 46.24) (44.39, 46.24) (44.85, Error: no valid pattern for unique instance TBUFX1, refBlock is TBUFX1
pin ordering (with ap): vdd (40.71, 141.44) (41.17, 141.44) (41.63, 141.44) (42.09, 141.44) (42.55, 141.44) (46.24) (45.31, 46.24) (45.77, 46.24) (46.23, 46.24) (46.69, 46.24) (47.15, 46.24) (47.61, 46.24) (48.07, 46.24) (48.53, 46.24) Y (47.38, 46.92) (47.38, 48.28) (47.38, 46.955) (47.38, 48.255) 43.01, 141.44) (43.47, 141.44) (43.93, 141.44) gnd (40.71, 138.72) (41.17, 138.72) (41.63, 138.72) (42.09, 138.72) (42.55, 138.72) (43.01, 138.72) (43.47, 138.72) (43.93, 138.72) A (42.32, 139.4) (42.32, 139.305)
EN (41.4, 139.74) (43.24, 139.74) (41.63, Error: no valid pattern for unique instance INVX1, refBlock is INVX1
pin ordering (with ap): vdd (40.71, 65.28) (41.17, 139.825) (42.09, 139.825) (42.55, 139.825) (43.01, 139.825) Y (43.7, 139.74) (43.7, 140.42) (43.7, 139.4) (43.7, 140.08) (43.7, 140.76) 65.28) (41.63, 65.28) (42.09, 65.28) gnd (40.71, 62.56) (41.17, 62.56) (41.63, 62.56) (42.09, 62.56) A (41.4, 63.58) (41.4, 64.26) (41.4, 63.92) Y (41.86, 63.58) (41.86, 64.26) (41.86, 63.24) (41.86, 63.92) (41.86, 64.6)
Error: no valid pattern for unique instance INV, refBlock is INV
pin ordering (with ap): Y (40.94, 58.14) (40.94, 58.82) (40.94, 57.8) (40.94, 58.48) (40.94, 59.16) vdd (40.71, 59.84) (41.17, 59.84) (41.63, 59.84) (42.09, 59.84) gnd (40.71, 57.12) (41.17, 57.12) (41.63, 57.12) (42.09, 57.12) A (41.4, 58.14) (41.4, 58.82) (41.4, 58.48) complete 39 unique inst patterns @@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
@@@ dead end inst @@@ dead end inst
Error: valid access pattern combination not found
complete 286 groups Expt1 runtime (pin-level access point gen): 1.193 Expt2 runtime (design-level access pattern gen): 0.0209814 #scanned instances = 7224 #unique instances = 45 #stdCellGenAp = 767 #stdCellValidPlanarAp = 744 #stdCellValidViaAp = 16 #stdCellPinNoAp = 0 #stdCellPinCnt = 388 #instTermValidViaApCnt = 0 #macroGenAp = 0 #macroValidPlanarAp = 0 #macroValidViaAp = 0 #macroNoAp = 0 complete pin access cpu time = 00:00:01, elapsed time = 00:00:01, memory = 23.74 (MB), peak = 27.36 (MB) post process guides ... GCELLGRID X -1 DO 43 STEP 6900 ; GCELLGRID Y -1 DO 43 STEP 6900 ; complete FR_MASTERSLICE complete FR_VIA complete li1 complete mcon complete met1 complete via complete met2 complete via2 complete met3 complete via3 complete met4 complete via4 complete met5
Error: genGuides_gCell2TermMap unsupoprted pinfig
[ERROR]: during executing: "TritonRoute /project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/tritonRoute.param |& tee >&@stdout /project/openlane/user_proj_example/runs/user_proj_example/logs/routing/tritonRoute.log"
[ERROR]: Exit code: 1
[ERROR]: Last 10 lines:
child process exited abnormally
[ERROR]: Please check TritonRoute log file
[ERROR]: Dumping to /project/openlane/user_proj_example/runs/user_proj_example/error.log
while executing "try_catch TritonRoute $::env(tritonRoute_tmp_file_tag).param |& tee $::env(TERMINAL_OUTPUT) $::env(tritonRoute_log_file_tag).log" (procedure "detailed_routing" line 7) invoked from within "detailed_routing" (procedure "run_routing" line 30) invoked from within "run_routing" (procedure "run_non_interactive_mode" line 16) invoked from within "run_non_interactive_mode {*}$argv" invoked from within "if { [info exists flags_map(-interactive)] || [info exists flags_map(-it)] } { puts_info "Running interactively" if { [info exists arg_values(-file)..." (file "/openLANE_flow/flow.tcl" line 169) make: *** [Makefile:29: user_proj_example] Fehler 1